[sv-bc] XMRs in parameter value overrides of module instantiations

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Mon Sep 10 2007 - 14:45:02 PDT
According to

    http://www.eda-stds.org/svdb/view.php?id=1058
    http://www.eda-stds.org/svdb/view.php?id=1224

the LRM currently allows the parameter values in module instantiations
to be hierarchical references, for example

    mod#(.P(mod_inst2.P) mod_inst1(...);
    mod#(.P(mod_inst1.P) mod_inst2(...);

Are real users doing this kind of tricky stuff?  How badly would it
break backward compatibility to disallow this starting in 2008?

-- Brad

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Sep 10 14:46:27 2007

This archive was generated by hypermail 2.1.8 : Mon Sep 10 2007 - 14:46:34 PDT