Re: [sv-bc] Mantis 210: allow use of generate in port list

From: Steven Sharp <sharp_at_.....>
Date: Mon Nov 27 2006 - 13:55:17 PST
Trying to address this need with generates seems problematic.  Perhaps
there are other ways to address it.

Some uses for conditional input ports can be met by your other enhancement
request for default values for unconnected ports.  That request is much
less problematic.

It seems to me that some of the uses for conditional and multiple ports
could be met by interfaces with generates inside them.  The interface
can be passed through ports, and generates inside the interface could
be used to configure the contents of the interface.  I don't know whether
generates are currently allowed inside interfaces.  It seems to me that
it would be less problematic than trying to directly generate ports.

Steven Sharp
sharp@cadence.com
Received on Mon Nov 27 13:55:21 2006

This archive was generated by hypermail 2.1.8 : Mon Nov 27 2006 - 13:55:30 PST