RE: [sv-bc] Mantis 210: allow use of generate in port list

From: Jonathan Bromley <jonathan.bromley_at_.....>
Date: Mon Nov 27 2006 - 14:02:10 PST
Steven Sharp wrote:

>  I don't know whether
> generates are currently allowed inside interfaces.

They are, but tool support for the useful aspects of 
this construct is patchy at best.

In particular it's legitimate to generate modport
definitions in an interface.  This is close to 
useless, though, unless the "modport expressions"
(renaming of signals when they appear in a modport)
is implemented correctly.

It is also possible to generate interface instances
within interfaces.  Such interface instances can
themselves contain modports, of course.  The 
names of the generated structures are clunky, but
it works.  It would be rather useful if nested
interface declarations could be generated in this
way, but I haven't yet found tool support for that
even though it seems to be in the LRM.

-- 
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services

Doulos Ltd. Church Hatch, 22 Market Place, Ringwood, Hampshire, BH24 1AW, UK
Tel: +44 (0)1425 471223                   Email: jonathan.bromley@doulos.com
Fax: +44 (0)1425 471573                           Web: http://www.doulos.com

The contents of this message may contain personal views which 
are not the views of Doulos Ltd., unless specifically stated.
Received on Mon Nov 27 14:02:20 2006

This archive was generated by hypermail 2.1.8 : Mon Nov 27 2006 - 14:02:31 PST