[sv-bc] Defparam on member of parameter struct

From: Surya Pratik Saha <spsaha_at_.....>
Date: Mon Nov 07 2005 - 03:03:39 PST
Hi,
In System Verilog, we can declare a paremeter as struct.

As per V2K LRM, a defparam can be applied on parameter only.

So can a defparam be applied on a member of struct param?

Is the following test case is valid?

module top;
    parameter struct {int x;} p = {1};
    defparam p.x = 1;
endmodule

Regards
Surya.
Received on Mon Nov 7 02:59:20 2005

This archive was generated by hypermail 2.1.8 : Mon Nov 07 2005 - 02:59:47 PST