RE: [sv-bc] Defparam on member of parameter struct

From: Maidment, Matthew R <matthew.r.maidment_at_.....>
Date: Mon Nov 07 2005 - 08:34:12 PST
FYI.

>-----Original Message-----
>From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] 
>Sent: Monday, November 07, 2005 8:15 AM
>To: sv-bc-approval@eda.org
>Subject: BOUNCE sv-bc@eda.org: Non-member submission from 
>["Premduth Vidyanandan" <premduth.vidyanandan@xilinx.com>] 
>
>Subject: RE: [sv-bc] Defparam on member of parameter struct
>Date: Mon, 7 Nov 2005 09:15:07 -0700
>
>Hi Dave,
>
>Just to understand what you are saying. Defparams are 
>deprecated for Systemverilog correct? It is not deprecated for 
>Verilog itself?
>
>Is that correct?
>
>I think the answer is yes, although wanted to check.
>
>Thanks
>Duth
>
>
>-----Original Message-----
>From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On 
>Behalf Of Rich, Dave
>Sent: Monday, November 07, 2005 9:08 AM
>To: francoise martinolle; Surya Pratik Saha; sv-bc@eda.org
>Subject: RE: [sv-bc] Defparam on member of parameter struct
>
>And the reason it is not allowed is because the defparam 
>statement has been deprecated. Deprecated means that the 
>feature has been removed from the standard, and should not be 
>used other to support legacy code.
>
>Dave
>
>
>> -----Original Message-----
>> From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of 
>> francoise martinolle
>> Sent: Monday, November 07, 2005 7:53 AM
>> To: 'Surya Pratik Saha'; sv-bc@eda.org
>> Subject: RE: [sv-bc] Defparam on member of parameter struct
>> 
>> I do not think it should be allowed.
>> 
>> -----Original Message-----
>> From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of
>Surya
>> Pratik Saha
>> Sent: Monday, November 07, 2005 6:04 AM
>> To: sv-bc@eda.org
>> Subject: [sv-bc] Defparam on member of parameter struct
>> 
>> Hi,
>> In System Verilog, we can declare a paremeter as struct.
>> 
>> As per V2K LRM, a defparam can be applied on parameter only.
>> 
>> So can a defparam be applied on a member of struct param?
>> 
>> Is the following test case is valid?
>> 
>> module top;
>>     parameter struct {int x;} p = {1};
>>     defparam p.x = 1;
>> endmodule
>> 
>> Regards
>> Surya.
>> 
>
>
>
>
>
>
Received on Mon Nov 7 08:34:21 2005

This archive was generated by hypermail 2.1.8 : Mon Nov 07 2005 - 08:34:26 PST