RE: [sv-bc] Defparam on member of parameter struct

From: francoise martinolle <fm_at_.....>
Date: Mon Nov 07 2005 - 07:52:54 PST
I do not think it should be allowed. 

-----Original Message-----
From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of Surya
Pratik Saha
Sent: Monday, November 07, 2005 6:04 AM
To: sv-bc@eda.org
Subject: [sv-bc] Defparam on member of parameter struct

Hi,
In System Verilog, we can declare a paremeter as struct.

As per V2K LRM, a defparam can be applied on parameter only.

So can a defparam be applied on a member of struct param?

Is the following test case is valid?

module top;
    parameter struct {int x;} p = {1};
    defparam p.x = 1;
endmodule

Regards
Surya.
Received on Mon Nov 7 07:53:01 2005

This archive was generated by hypermail 2.1.8 : Mon Nov 07 2005 - 07:53:17 PST