[sv-bc] Array assignment (copy) - overlapping source and target

From: <jonathan.bromley_at_.....>
Date: Tue Jun 09 2009 - 03:03:04 PDT
Thinking again about the 2380 proposal... is it desirable to
add something saying what happens when you copy an
array on to itself?  I know that all tools currently do 
The Right Thing (tm) with this:

  A[1:7] = A[0:6];

but I'm not sure that the LRM anywhere specifies that
the RHS should be fully evaluated before any writes
to the LHS take place.  I don't want some implementation
giving me seven copies of A[0] in A[1:7] because
of "left-to-right copying"!

thanks
-- 
Jonathan Bromley


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Jun 9 03:04:28 2009

This archive was generated by hypermail 2.1.8 : Tue Jun 09 2009 - 03:05:36 PDT