RE: [sv-bc] Array assignment (copy) - overlapping source and target

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Tue Jun 09 2009 - 03:20:24 PDT
I have always thought it obvious.

Packed arrays, i.e., vectors, have always done this correctly.

1800 laid doubt in some minds due to mention of element by element copying, but it seems to be a theoretical doubt only.

Mantis 2433 mentions this. 

Regards,
Shalom


> -----Original Message-----
> From: owner-sv-bc@server.eda.org 
> [mailto:owner-sv-bc@server.eda.org] On Behalf Of 
> jonathan.bromley@doulos.com
> Sent: Tuesday, June 09, 2009 1:03 PM
> To: sv-ec@server.eda.org; sv-bc@server.eda.org
> Subject: [sv-bc] Array assignment (copy) - overlapping source 
> and target
> 
> Thinking again about the 2380 proposal... is it desirable to
> add something saying what happens when you copy an
> array on to itself?  I know that all tools currently do 
> The Right Thing (tm) with this:
> 
>   A[1:7] = A[0:6];
> 
> but I'm not sure that the LRM anywhere specifies that
> the RHS should be fully evaluated before any writes
> to the LHS take place.  I don't want some implementation
> giving me seven copies of A[0] in A[1:7] because
> of "left-to-right copying"!
> 
> thanks
> -- 
> Jonathan Bromley
> 
> 
> -- 
> This message has been scanned for viruses and
> dangerous content by MailScanner, and is
> believed to be clean.
> 
> 
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Jun 9 03:22:19 2009

This archive was generated by hypermail 2.1.8 : Tue Jun 09 2009 - 03:23:21 PDT