[sv-bc] time unit specification

From: Mark Hartoog <Mark.Hartoog_at_.....>
Date: Wed Nov 19 2008 - 11:42:01 PST
In section 3.14.2.3 of the P1800-2009 draft 7a LRM is says:

 

<quote>

If a timeunit is not specified within a module, program, package, or
interface definition, then the time unit

shall be determined using the following rules of precedence:

a) If the module or interface definition is nested, then the time unit
shall be inherited from the enclosing

module or interface (programs and packages cannot be nested).

b) Else, if a `timescale directive has been previously specified (within
the compilation unit), then

the time unit shall be set to the units of the last `timescale
directive.

c) Else, if the compilation-unit scope specifies a time unit (outside
all other declarations), then the time

unit shall be set to the time units of the compilation unit.

d) Else, the default time unit shall be used.

....

It shall be an error if some design elements have a time unit and
precision specified and others do not.

</quote>

 

If all design elements with no other time unit/precision specification
use the default time unit, how can you have a design element with no
time unit/precision specified? 

 

Does this mean that it is an error for some design elements to use the
default and other design elements to have different time unit/precision
specified? Or is it impossible for this error to occur?


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Nov 19 11:43:02 2008

This archive was generated by hypermail 2.1.8 : Wed Nov 19 2008 - 11:43:32 PST