RE: [sv-bc] virtual interfaces

From: Mark Hartoog <Mark.Hartoog_at_.....>
Date: Tue Aug 26 2008 - 14:37:11 PDT
As currently specified in the LRM, there is no way to implement a
virtual interface that has a interface port, so I think it has to be
illegal. 

 

From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of
Francoise Martinolle
Sent: Tuesday, August 26, 2008 2:30 PM
To: sv-bc@eda-stds.org
Subject: [sv-bc] virtual interfaces

 

In section 24.9 there is a statement:

 

Although an interface may contain hierarchical references to objects
outside its body or ports that reference

other interfaces, it shall be illegal to use an interface containing
those references in the declaration of a virtual

interface.

 

Does it mean that a virtual interface variable cannot be assigned to a
static interface instance which has an interface port?

 

Francoise

        


-- 
This message has been scanned for viruses and 
dangerous content by MailScanner <http://www.mailscanner.info/> , and is

believed to be clean. 


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Aug 26 14:38:22 2008

This archive was generated by hypermail 2.1.8 : Tue Aug 26 2008 - 14:38:33 PDT