[sv-bc] virtual interfaces

From: Francoise Martinolle <fm_at_.....>
Date: Tue Aug 26 2008 - 14:29:53 PDT
In section 24.9 there is a statement:

 

Although an interface may contain hierarchical references to objects
outside its body or ports that reference

other interfaces, it shall be illegal to use an interface containing
those references in the declaration of a virtual

interface.

 

Does it mean that a virtual interface variable cannot be assigned to a
static interface instance which has an interface port?

 

Francoise

        


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Aug 26 14:30:37 2008

This archive was generated by hypermail 2.1.8 : Tue Aug 26 2008 - 14:31:17 PDT