[sv-bc] query reagrding sequence method "triggered"

From: Sarani Roy <sarani_at_.....>
Date: Wed Aug 27 2008 - 22:47:44 PDT
Hi,

According to LRM1800-2005  section 17.12.6 "The value of method 
triggered evaluates to true if the given
 sequence has reached its end point at that particular point in time and 
false otherwise. The triggered
status of the sequence is set in the Observe region and persists through 
the remainder of the time step.
*This method shall only be used in wait statements or boolean 
expressions (see 10.11) outside of
sequence context or in the disable iff boolean expression for properties. "
*
module top (clk, reset, out);

input clk, reset;
output[3:0] out;
wire clk, reset;
reg [3:0] out;

always @(posedge clk)
    begin
        if (reset== 1'b1)
           out <= 0;
        else
           out <= out + 1;
    end


sequence seq1;
  @(posedge clk)
   out[0] ##1 out[1] ;
endsequence

property p1;
  @(posedge clk)
   reset ##1 seq1.triggered ##1 out; * // Wrong syntax ???*
endproperty

assert property (p1);

endmodule

Some tools are failing but it is not explicitly written in the LRM that 
it is an error.

Regards,
Sarani



-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Aug 27 22:56:40 2008

This archive was generated by hypermail 2.1.8 : Wed Aug 27 2008 - 22:58:45 PDT