[sv-bc] Forward typedef issue

From: Surya Pratik Saha <spsaha_at_.....>
Date: Wed Jul 16 2008 - 01:02:49 PDT
Hi,
As per SV 1800 LRM (4.9 User-defined types):
The actual type definition of a forward typedef declaration shall be resolved within the same local scope or generate block.

Now if we consider the following e.g:
module top;
    typedef int myint;
    task t;
        typedef myint;
        myint x;
    endtask
endmodule

Where you can see inside task 't', 'myint' typedef is forward typedef, but never explicitly declared. But most of the standard simulators pass the case, taking the definition from module 'top'. What should be the expected behaviour?
-- 
Regards
Surya

--
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean. Received on Wed Jul 16 01:03:35 2008

This archive was generated by hypermail 2.1.8 : Wed Jul 16 2008 - 01:03:46 PDT