[sv-bc] enums in packed arrays

From: Steven Sharp <sharp_at_.....>
Date: Tue May 13 2008 - 17:26:30 PDT
I reviewed the email trail about enums in packed arrays, and I see no
reason to disallow any enum, regardless of base type.  The only issue
ever raised with packed arrays of built-in integer types does not apply
to enums.  Any other issue would presumably apply equally to enums in
packed structs, which do not seem to be restricted.  So I am going to
propose that we go back to Shalom's original wording and allow all enum
types in packed arrays, unless someone can come up with an actual
problem with it.

Steven Sharp
sharp@cadence.com


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue May 13 17:27:00 2008

This archive was generated by hypermail 2.1.8 : Tue May 13 2008 - 17:27:36 PDT