Re: [sv-bc] enums in packed arrays

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Tue Apr 11 2006 - 23:27:38 PDT
>Good portable code in C/C++ does not know what the length of an int is.

Agreed.  And in Verilog-2005, the length of an 'integer' is not even
specified, except to say that must be at least 32 bits long.

Doesn't this argue for prohibiting the use of these predefined types
even in packed structs and unions? 

-- Brad
Received on Tue Apr 11 23:27:48 2006

This archive was generated by hypermail 2.1.8 : Tue Apr 11 2006 - 23:27:56 PDT