[sv-bc] enums in packed arrays

From: Steven Sharp <sharp_at_.....>
Date: Tue Apr 11 2006 - 11:14:01 PDT
At yesterday's SV-BC meeting, we modified the description of packed unions
in a way that allows enums as members of packed unions.  We also noted that
the LRM allows enums as members of packed structs.

Given this, it seems inconsistent that the LRM does not allow enums as
elements of packed arrays.  Shouldn't we change this also?

Steven Sharp
sharp@cadence.com
Received on Tue Apr 11 11:14:05 2006

This archive was generated by hypermail 2.1.8 : Tue Apr 11 2006 - 11:14:20 PDT