RE: [sv-bc] enums in packed arrays

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Fri Apr 14 2006 - 02:13:59 PDT
1. No.
2. C/C++/Verilog-2005 are not SystemVerilog. In SystemVerilog, the
length of int and integer are well-defined.

Shalom

> -----Original Message-----
> From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of
Brad
> Pierce
> Sent: Wednesday, April 12, 2006 9:28 AM
> To: sv-bc@eda.org
> Subject: Re: [sv-bc] enums in packed arrays
> 
> >Good portable code in C/C++ does not know what the length of an int
is.
> 
> Agreed.  And in Verilog-2005, the length of an 'integer' is not even
> specified, except to say that must be at least 32 bits long.
> 
> Doesn't this argue for prohibiting the use of these predefined types
> even in packed structs and unions?
> 
> -- Brad
Received on Fri Apr 14 02:14:07 2006

This archive was generated by hypermail 2.1.8 : Fri Apr 14 2006 - 02:14:11 PDT