[sv-bc] RE: [sv-ac] Interface item reference

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Mon Jul 16 2007 - 21:28:40 PDT
Mantis 1794 asks whether there is an implicit instance of an
uninstantiated program or interface.

Shalom 

> -----Original Message-----
> From: owner-sv-ac@server.eda.org 
> [mailto:owner-sv-ac@server.eda.org] On Behalf Of Surya Pratik Saha
> Sent: Tuesday, July 17, 2007 7:16 AM
> To: sv-bc@eda-stds.org; sv-ac@eda-stds.org
> Subject: [sv-ac] Interface item reference
> 
> Hi,
> Consider the following e.g.:
> 
>       1 interface intf;
>       2     int a;
>       3 endinterface
>       4
>       5 module top;
>       6     initial
>       7         intf.a = 1;
>       8 endmodule
> 
> Is the hierarchical reference illegal? LRM does not clearly 
> mention that hierarchical reference of interface item can 
> only be possible by instantiation. Though most of the 
> standard simulators fail the case.
> 
> --
> Regards
> Surya
> 
> 
> 
> 
> 
> -- 
> This message has been scanned for viruses and
> dangerous content by MailScanner, and is
> believed to be clean.
> 

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Jul 16 21:29:06 2007

This archive was generated by hypermail 2.1.8 : Mon Jul 16 2007 - 21:29:15 PDT