[sv-bc] Interface item reference

From: Surya Pratik Saha <spsaha_at_.....>
Date: Mon Jul 16 2007 - 21:15:45 PDT
Hi,
Consider the following e.g.:

      1 interface intf;
      2     int a;
      3 endinterface
      4
      5 module top;
      6     initial
      7         intf.a = 1;
      8 endmodule

Is the hierarchical reference illegal? LRM does not clearly mention that 
hierarchical reference of interface item can only be possible by 
instantiation. Though most of the standard simulators fail the case.

-- 
Regards
Surya





-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Jul 16 21:17:08 2007

This archive was generated by hypermail 2.1.8 : Mon Jul 16 2007 - 21:17:15 PDT