Re: [sv-bc] Case Statement Enhancement Proposal Idea

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Wed Jul 04 2007 - 18:06:50 PDT
Cliff,

>with the unique keyword, this still simulates like a 2-to-4 decoder and
issues
>a run-time warning whenever en=0 and the always_comb block is executed.

>It also optimizes away the en-input to give the wrong logic when
synthesized.

It may not be the logic you wished for, but it's hardly "the wrong
logic".  It's GIGO logic, hence the run-time warning from the simulator.
The LRM says --

"If the case is qualified as priority or unique, the simulator shall
issue a warning message if no case item matches. These warnings can be
issued at either compile time or run time, as soon as it is possible to
determine the illegal condition.

"NOTE-By specifying unique or priority, it is not necessary to code a
default case to trap unexpected case values."

[ In reply to http://www.eda-stds.org/sv-bc/hm/6190.html . ]

-- Brad


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Jul 4 18:07:24 2007

This archive was generated by hypermail 2.1.8 : Wed Jul 04 2007 - 18:07:35 PDT