[sv-bc] Are modport port directions enforced?

From: Francoise Martinolle <fm_at_.....>
Date: Mon Feb 26 2007 - 13:08:00 PST
I have a question regarding the modport port directions.
If I declare a modport port in an interface with direction input, should
it be an error
if the module which uses this modport tries to assign to the modport
port of direction input?
 
I cannot find anything in the LRM which talks about modport port
direction enforcements.
interface data_if ();
 
logic [31:0] d;
 
modport in  (input d);

modport out (output d);
 
endinterface: data_if
 
module duv (data_if.in i, data_if.out o);
 
assign i.d = o.d;     ====> is this allowed?
 
endmodule: duv
 
endinterface: data_if

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Feb 26 13:08:19 2007

This archive was generated by hypermail 2.1.8 : Mon Feb 26 2007 - 13:08:26 PST