[sv-bc] Defparam -- mixed message from IEEE standards

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Tue Jun 13 2006 - 13:25:28 PDT
According to 12.2.1 in IEEE Std 1364-2005,

 

"The defparam statement is particularly useful for grouping all of the
parameter value override assignments together in one module."

 

But according to 25.2 in IEEE Std 1800-2005,

 

            "The defparam method of specifying the value of a parameter
can be a source of design errors and can be an impediment to tool
implementation due to its usage of hierarchical paths. ... The practice
of using defparam statements is highly discouraged."

 

So is defparam "particularly useful" or "highly discouraged"?

 

-- Brad
Received on Tue Jun 13 13:24:58 2006

This archive was generated by hypermail 2.1.8 : Tue Jun 13 2006 - 13:25:08 PDT