RE: [sv-bc] Defparam -- mixed message from IEEE standards

From: Clifford E. Cummings <cliffc_at_.....>
Date: Tue Jun 13 2006 - 18:41:35 PDT
I believe the controversial editorial comment in 
section 12.2.1 of the 2005 Verilog Standard 
should be removed. In fact the "particularly 
useful" technique described is one of the 
insidious abuses that should be discouraged.

Like I have said before, defparam was a good idea 
gone bad. Shalom has suggested one defparam 
feature that we are currently missing in named 
parameter passing that should be considered for 
addition: the ability to pass DOWN hierarchical 
parameters by name so parameter passing does not 
have to traverse down through multiple layers of parameters.

I propose removal of the 12.2.1 editorial comment due to inaccuracy.

Regards - Cliff

At 02:39 PM 6/13/2006, Michael (Mac) McNamara wrote:
>A gun is particularily useful for solving 
>certain problems, but its use is in general, highly discouraged.
>
>The bare fact is that SystemVerilog's 
>enhancements to improve structured design, with 
>things like interfaces and so on, still does not 
>have the raw power of a few well placed 
>defparams, fired from one place in the design, 
>to totally change how everything is connected together.
>
>Fundamentally, both statements are true.
>
>
>Michael McNamara
>
>mcnamara@cadence.com
>
>408-914-6808 work
>
>408-348-7025 cell
>
>
>
>
>
>----------
>From: owner-sv-bc@verilog.org 
>[mailto:owner-sv-bc@verilog.org] On Behalf Of Brad Pierce
>Sent: Tuesday, June 13, 2006 1:25 PM
>To: sv-bc@verilog.org
>Subject: [sv-bc] Defparam -- mixed message from IEEE standards
>
>According to 12.2.1 in IEEE Std 1364-2005,
>
>
>
>“The defparam statement is particularly useful 
>for grouping all of the parameter value override 
>assignments together in one module.”
>
>
>
>But according to 25.2 in IEEE Std 1800-2005,
>
>
>
>             “The defparam method of specifying 
> the value of a parameter can be a source of 
> design errors and can be an impediment to tool 
> implementation due to its usage of hierarchical 
> paths. … The practice of using defparam statements is highly discouraged.”
>
>
>
>So is defparam “particularly useful” or “highly discouraged”?
>
>
>
>-- Brad

----------------------------------------------------
Cliff Cummings - Sunburst Design, Inc.
14314 SW Allen Blvd., PMB 501, Beaverton, OR 97005
Phone: 503-641-8446 / FAX: 503-641-8486
cliffc@sunburst-design.com / www.sunburst-design.com
Expert Verilog, SystemVerilog, Synthesis and Verification Training
Received on Tue Jun 13 18:41:10 2006

This archive was generated by hypermail 2.1.8 : Tue Jun 13 2006 - 18:41:30 PDT