RE: [sv-bc] compiler directives in middle of statement

From: Steven Sharp <sharp_at_.....>
Date: Thu Jan 05 2006 - 12:46:46 PST
>From: "Bresticker, Shalom" <shalom.bresticker@intel.com>

>The following are 'interesting' cases:
>
>- sv, unlike Verilog, allows all sorts of code outside modules. 

I agree that there is potential for problems here.  Some directives
were required to appear outside of modules to prevent certain problems
from occurring.  When SV started allowing code outside of modules,
I doubt that anyone carefully considered the impact of that code
now potentially being interspersed with these directives.


Steven Sharp
sharp@cadence.com
Received on Thu Jan 5 12:46:54 2006

This archive was generated by hypermail 2.1.8 : Thu Jan 05 2006 - 12:47:05 PST