Re: [sv-bc] compiler directives in middle of statement

From: Steven Sharp <sharp_at_.....>
Date: Tue Jan 03 2006 - 16:16:13 PST
The LRM restricts some compiler directives to specific places, such as
outside of modules (generally because they are intended to apply to an
entire module, and it would be confusing if they changed in the middle
of the module).  Aside from those, I think compiler directives are
allowed anywhere you like, including in the middle of statements.

The simulators I have access to have no problem with a macro definition
in the middle of a continuous assignment.

Steven Sharp
sharp@cadence.com
Received on Tue Jan 3 16:16:19 2006

This archive was generated by hypermail 2.1.8 : Tue Jan 03 2006 - 16:18:04 PST