Re: [sv-bc] Errata: variable initializers don't match Verilog-2001

From: <Shalom.Bresticker@freescale.com>
Date: Thu Dec 09 2004 - 13:35:47 PST

Steven,

When you write that always constructs should 'execute' before initial blocks
and initializations at time 0, that term 'execute' could be misunderstood.

You mean if you have

always @(a or b) or always @(posedge clk), then you enter the always
and start waiting on the @(a or b) or @(posedge clk). For always_comb, you
mean to wait on the implicit sensitivity list.

Correct?

Shalom

On Thu, 9 Dec 2004 Shalom.Bresticker@freescale.com wrote:

> Your argument is convincing. I agree.
>
> Shalom
>
> > I think the best thing you can define for them is to require them to
> > execute before initial blocks (and initializers) at time zero. And the
> > P1800 LRM actually forbids that.
>
>

-- 
Shalom Bresticker                        Shalom.Bresticker @freescale.com
Design & Verification Methodology                    Tel: +972 9  9522268
Freescale Semiconductor Israel, Ltd.                 Fax: +972 9  9522890
POB 2208, Herzlia 46120, ISRAEL                     Cell: +972 50 5441478
  
[ ]Freescale Internal Use Only      [ ]Freescale Confidential Proprietary
Received on Thu Dec 9 13:36:02 2004

This archive was generated by hypermail 2.1.8 : Thu Dec 09 2004 - 13:36:05 PST