Re: [sv-bc] Errata: variable initializers don't match Verilog-2001

From: <Shalom.Bresticker@freescale.com>
Date: Thu Dec 09 2004 - 02:06:51 PST

Your argument is convincing. I agree.

Shalom

> I think the best thing you can define for them is to require them to
> execute before initial blocks (and initializers) at time zero. And the
> P1800 LRM actually forbids that.

-- 
Shalom Bresticker                        Shalom.Bresticker @freescale.com
Design & Verification Methodology                    Tel: +972 9  9522268
Freescale Semiconductor Israel, Ltd.                 Fax: +972 9  9522890
POB 2208, Herzlia 46120, ISRAEL                     Cell: +972 50 5441478
  
[ ]Freescale Internal Use Only      [ ]Freescale Confidential Proprietary
Received on Thu Dec 9 02:07:38 2004

This archive was generated by hypermail 2.1.8 : Thu Dec 09 2004 - 02:08:56 PST