Re: [sv-bc] Errata in SV 3.1a LRM Section 18.4: inconsistent use of error and warning

From: <Shalom.Bresticker@freescale.com>
Date: Wed Nov 10 2004 - 06:06:31 PST

Brad,

This is not correct.

In typical one-hot logic, we don't care if there is a small glitch when
going from one value to another, since the output value is only sampled
on the clock edge, and the glitch is so short that we don't care.
But the glitch can indeed exist.

If I write

casez(a[1:0]) //synthesis parallel_case
2'b1?: out = b;
2'b?1: out = c;
endcase

I indeed tell the synthesizer to assume that the cases are
mutually exclusive, but I don't assume that the 2'b11 cannot occur as a
transient.

Shalom

On Tue, 9 Nov 2004, Brad Pierce wrote:

> The user is asking for hardware that takes advantage of his/her assertion.
> So 'unique' should be a promise that the implicit selector signals
> will be one-hot by the end of each simulation time step.

-- 
Shalom Bresticker                        Shalom.Bresticker @freescale.com
Design & Verification Methodology                    Tel: +972 9  9522268
Freescale Semiconductor Israel, Ltd.                 Fax: +972 9  9522890
POB 2208, Herzlia 46120, ISRAEL                     Cell: +972 50 5441478
  
[ ]Freescale Internal Use Only      [ ]Freescale Confidential Proprietary
Received on Wed Nov 10 06:06:40 2004

This archive was generated by hypermail 2.1.8 : Wed Nov 10 2004 - 06:07:14 PST