[sv-bc] question from sv-cc on the funtion_prototype

From: Francoise Martinolle <fm@cadence.com>
Date: Wed Oct 13 2004 - 11:38:31 PDT

The CC committee asked me to find out from the BC commitee if the
function_prototype bnf definition
really intended to require the return datatype of the function. The
DPI_function_prototype is using the function_prototype
and hence DPI would have to indicate the "System Verilog equivalent "return
type of the imported DPI function (CC errata 49).
It sounds reasonable to require the return datatype but the CC commitee just
wants to confirm that it was intended to be explicit and not a default type.
 
In Annex A :
function_prototype ::= function function_data_type function_identifier ( [
tf_port_list ] )

 

dpi_import_export ::=

import "DPI" [ dpi_function_import_property ] [ c_identifier = ]
dpi_function_proto ;

| import "DPI" [ dpi_task_import_property ] [ c_identifier = ]
dpi_task_proto ;

| export "DPI" [ c_identifier = ] function function_identifier ;

| export "DPI" [ c_identifier = ] task task_identifier ;
Received on Wed Oct 13 11:38:38 2004

This archive was generated by hypermail 2.1.8 : Wed Oct 13 2004 - 11:39:11 PDT