RE: [sv-bc] question from sv-cc on the funtion_prototype

From: Mark Hartoog <Mark.Hartoog@synopsys.com>
Date: Wed Oct 13 2004 - 11:49:20 PDT

I would think that the function return type should be part of the prototype.
  -----Original Message-----
  From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org]On Behalf Of
Francoise Martinolle
  Sent: Wednesday, October 13, 2004 11:39 AM
  To: 'Sv-Bc'
  Subject: [sv-bc] question from sv-cc on the funtion_prototype

  The CC committee asked me to find out from the BC commitee if the
function_prototype bnf definition
  really intended to require the return datatype of the function. The
DPI_function_prototype is using the function_prototype
  and hence DPI would have to indicate the "System Verilog equivalent
"return type of the imported DPI function (CC errata 49).
  It sounds reasonable to require the return datatype but the CC commitee
just wants to confirm that it was intended to be explicit and not a default
type.

  In Annex A :
  function_prototype ::= function function_data_type function_identifier (
[ tf_port_list ] )

  dpi_import_export ::=

  import "DPI" [ dpi_function_import_property ] [ c_identifier = ]
dpi_function_proto ;

  | import "DPI" [ dpi_task_import_property ] [ c_identifier = ]
dpi_task_proto ;

  | export "DPI" [ c_identifier = ] function function_identifier ;

  | export "DPI" [ c_identifier = ] task task_identifier ;
Received on Wed Oct 13 11:49:28 2004

This archive was generated by hypermail 2.1.8 : Wed Oct 13 2004 - 11:49:33 PDT