Re: [sv-bc] question from sv-cc on the funtion_prototype

From: Steven Sharp <sharp@cadence.com>
Date: Wed Oct 13 2004 - 13:27:40 PDT

Perhaps I am missing something, but I don't see how SystemVerilog could do
anything with the return value of the imported DPI function if it didn't
know what type of value was being returned.

Steven Sharp
sharp@cadence.com
Received on Wed Oct 13 13:27:44 2004

This archive was generated by hypermail 2.1.8 : Wed Oct 13 2004 - 13:27:48 PDT