[sv-bc] Errata: variable initializers don't match Verilog-2001

From: Steven Sharp <sharp@cadence.com>
Date: Tue Aug 31 2004 - 16:10:09 PDT

This is well known, but has not been addressed in P1800.

The semantics of variable initializers in SystemVerilog do not match the
semantics defined in Verilog-2001. This is even explicitly acknowledged
in the LRM.

Steven Sharp
sharp@cadence.com
Received on Tue Aug 31 16:10:12 2004

This archive was generated by hypermail 2.1.8 : Tue Aug 31 2004 - 16:10:28 PDT