Re: [sv-bc] Errata: variable initializers don't match Verilog-2001

From: Shalom Bresticker <Shalom.Bresticker@freescale.com>
Date: Wed Sep 01 2004 - 04:51:07 PDT

What was this referrring to?

Shalom

Steven Sharp wrote:

> This is well known, but has not been addressed in P1800.
>
> The semantics of variable initializers in SystemVerilog do not match the
> semantics defined in Verilog-2001. This is even explicitly acknowledged
> in the LRM.
>
> Steven Sharp
> sharp@cadence.com

--
Shalom Bresticker                        Shalom.Bresticker @freescale.com
Design & Reuse Methodology                           Tel: +972 9  9522268
Freescale Semiconductor Israel, Ltd.                 Fax: +972 9  9522890
POB 2208, Herzlia 46120, ISRAEL                     Cell: +972 50 5441478
[ ]Freescale Internal Use Only      [ ]Freescale Confidential Proprietary
Received on Wed Sep 1 04:52:58 2004

This archive was generated by hypermail 2.1.8 : Wed Sep 01 2004 - 05:04:58 PDT