RE: [sv-bc] Interconnect?

From: Brad Pierce <Brad.Pierce@synopsys.com>
Date: Mon Aug 05 2013 - 16:49:00 PDT
Hi Greg,



The 'interconnect' keyword is new in SV12, for generic (typeless) nets and ports, and is used for discrete real modeling. In digital synthesis, we just treat it like 'wire'. For context, see attached. There are also user-defined resolution functions with the new 'nettype' keyword which is not yet supported in digital synthesis.



-- Brad



-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.



Received on Mon Aug 5 16:49:25 2013

This archive was generated by hypermail 2.1.8 : Mon Aug 05 2013 - 16:49:30 PDT