[sv-ec] Program variables; Cycle delay (##) - email from michael.smith

From: Neil Korpusik <Neil.Korpusik_at_.....>
Date: Wed Jul 13 2005 - 17:32:09 PDT
Forwarding email that bounced from the reflector.


-------- Original Message --------
Subject: BOUNCE sv-ec@eda.org:    Non-member submission from ["Michael Smith" <michael.smith@doulos.com>]
Date: Wed, 13 Jul 2005 00:59:40 -0700 (PDT)
Subject: Program variables; Cycle delay (##)
From: "Michael Smith" <michael.smith@doulos.com>


Program Variables
-----------------
Are the ports of a program considered to be "program variables"?
I would have thought "yes", but it depends what "in the scope of a
program" means.

So, am I correct in saying that a program's outputs should be
assigned using blocking assignments?

	program (output logic v);
	  initial v = 1;
	endprogram

Is this the intent?

Cycle delay (##)
----------------

Given,

	clocking cb @(posedge clk);
	  output o;
	endclocking

	initial begin
	      cb.o <= 0;
	  ##1 cb.o <= 1;
	end

what happens?

Thanks,

Mike Smith
--
Michael Smith
Senior Consultant

DOULOS - Developing Design Know-how
VHDL * SystemC * Verilog * SystemVerilog * e * PSL * Perl * Tcl/Tk * Project Services

Doulos Ltd. Church Hatch, 22 Market Place, Ringwood, Hampshire, BH24 1AW, UK
Tel: +44 (0)1425 471223                       mail: michael.smith@doulos.com
Fax: +44 (0)1425 471573                           Web: http://www.doulos.com

This e-mail and any  attachments are  confidential and Doulos Ltd. reserves
all rights of privilege in  respect thereof. It is intended for the use of
the addressee only. If you are not the intended recipient please delete it
from  your  system, any  use, disclosure, or copying  of this  document is
unauthorised. The contents of this message may contain personal views which
are not the views of Doulos Ltd., unless specifically stated.


-- 
---------------------------------------------------------------------
Neil Korpusik                                     Tel: 408-720-4852
Staff Engineer                                    Fax: 408-720-4850
Frontend Technologies - ASICs & Processors (FTAP)
Sun Microsystems
email: neil.korpusik@sun.com
---------------------------------------------------------------------
Received on Wed Jul 13 17:32:18 2005

This archive was generated by hypermail 2.1.8 : Wed Jul 13 2005 - 17:32:38 PDT