[sv-ec] FW: Non-member submission from John Havlicek


Subject: [sv-ec] FW: Non-member submission from John Havlicek
From: David W. Smith (David.Smith@synopsys.com)
Date: Sun Nov 16 2003 - 10:33:01 PST


----- Original Message -----
From: <owner-sv-ec@eda.org>
To: <owner-sv-ec@eda.org>
Sent: Friday, November 14, 2003 1:57 PM
Subject: BOUNCE sv-ec@eda.org: Non-member submission from [John Havlicek
<john.havlicek@motorola.com>]

> >From owner-sv-ec Fri Nov 14 13:57:20 2003
> Received: from motgate2.mot.com (motgate2.mot.com [136.182.1.10])
> by server.eda.org (8.12.0.Beta7/8.12.0.Beta7) with ESMTP id
hAELv98h027930;
> Fri, 14 Nov 2003 13:57:09 -0800 (PST)
> Received: from il06exr06.mot.com (il06exr06.mot.com [129.188.137.136])
> by motgate2.mot.com (Motorola/Motgate2) with ESMTP id hAELuiE8005541;
> Fri, 14 Nov 2003 14:56:55 -0700 (MST)
> Received: from adttx.sps.mot.com (adttx.sps.mot.com [163.12.136.93])
> by il06exr06.mot.com (Motorola/il06exr06) with ESMTP id hAELtoKq009902;
> Fri, 14 Nov 2003 15:55:56 -0600
> Received: from tamale.sps.mot.com (tamale [163.12.136.135])
> by adttx.sps.mot.com (8.11.7+Sun/8.10.2) with ESMTP id hAELtnr25630;
> Fri, 14 Nov 2003 15:55:50 -0600 (CST)
> Received: (from havlicek@localhost)
> by tamale.sps.mot.com (8.11.7+Sun/8.10.2) id hAELtnv27105;
> Fri, 14 Nov 2003 15:55:49 -0600 (CST)
> Date: Fri, 14 Nov 2003 15:55:49 -0600 (CST)
> Message-Id: <200311142155.hAELtnv27105@tamale.sps.mot.com>
> To: krolnik@lsil.com
> CC: lawrence@cadence.com, sv-ec@server.eda.org, sv-ac@server.eda.org
> In-reply-to: <3FB01E7E.5000106@lsil.com> (message from Adam Krolnik on
Mon, 10
> Nov 2003 17:25:50 -0600)
> Subject: Re: [sv-ac] Constraint implication, sequence implication, and
transitions
> From: John Havlicek <john.havlicek@motorola.com>
> Reply-to: john.havlicek@motorola.com
> References:
<0D3972F302D58440BD35BF14DC48AF5601AA3CFF@exmbx01chel.cadence.com>
<3FB01E7E.5000106@lsil.com>
>
> All:
>
> I agree with Adam's points.
>
> J.H.
>
> > Date: Mon, 10 Nov 2003 17:25:50 -0600
> > From: Adam Krolnik<krolnik@lsil.com>
> > X-Accept-Language: en-us, en
> > Cc: <sv-ec@eda.org>, <sv-ac@eda.org>
> > Sender: owner-sv-ac@eda.org
> > Precedence: bulk
> >
> >
> > Hi Jay;
> >
> > It seems lavish to have 4 "implication" operators. [Verilog 2001 has
none.]
> >
> > >---------------------
> > >Summary of operators:
> > >---------------------
> >
> > > => overlapping constraint implication
> > > |-> overlapping sequence implication
> > > |=> non-overlapping sequence implication
> > > -> non-overlapping transition
> >
> > Seeing this summary, it does appear inconsistent when viewing the
(non)overlapping
> > attribute. Consistency would argue for at least swapping "->" and "=>".
> > SV-AC has a proposal for constraints to be property instances, so one
may see
> > properties and boolean constraints together.
> >
> > I would hope we could switch constrain and covergroup operators to match
the
> > assertion implication operators.
> >
> >
> > -> overlapping constraint implication
> > |-> overlapping sequence implication
> > |=> non-overlapping sequence implication
> > => non-overlapping transition
> >
> >
> > If coverage transitions are the only use of "=>", maybe we should
consider
> > reusing another operator which more closely matches the next cycle
operation.
> >
> > coverpoint mode {
> > bins sl = (small ##1 large);
> >
> >
> > Thanks Jay!
> >
> > Adam Krolnik
> > Verification Mgr.
> > LSI Logic Corp.
> > Plano TX. 75074
> >
> >
>



This archive was generated by hypermail 2b28 : Sun Nov 16 2003 - 10:34:31 PST