RE: [sv-bc] Is concurrent assertion not allowed inside task/function?

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Tue Dec 15 2009 - 01:32:41 PST
Does the BNF allow it?

Shalom 

> -----Original Message-----
> From: owner-sv-bc@server.eda.org 
> [mailto:owner-sv-bc@server.eda.org] On Behalf Of Surya Pratik Saha
> Sent: Tuesday, December 15, 2009 11:10 AM
> To: sv-bc@eda.org; sv-ac@server.eda.org
> Cc: Adhip Das
> Subject: [sv-bc] Is concurrent assertion not allowed inside 
> task/function?
> 
> Hi,
> I did not see any restriction in the LRM that prevents concurrent 
> assertion statement inside task/function. Though all the standard 
> simulators fail for the following case for same reason:
> 
> module top(input clk,a,b,c);
> 
>         property prop;
>                 @(posedge clk)  a ##1 b ##1 c;
>         endproperty
>         task tsk;
>                 assert property(prop)
>                             $display("\n time = %g , testname 
> = %s Passed",$time,"test1_16_7");
>                         else
>                             $display("\n time = %g , testname = %s
> Failed",$time,"test1_16_7");
> 
>         endtask
> 
>         function func();
>                 assert property(prop)
>                             $display("\n time = %g , testname = %s
> Passed",$time,"test1_16_7");
>                         else
>                             $display("\n time = %g , testname = %s
> Failed",$time,"test1_16_7");
> 
>         endfunction
> 
> endmodule
> 
> Please comment on it.
> 
> -- 
> Regards
> Surya
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Dec 15 01:35:04 2009

This archive was generated by hypermail 2.1.8 : Tue Dec 15 2009 - 01:36:09 PST