[sv-bc] Is concurrent assertion not allowed inside task/function?

From: Surya Pratik Saha <spsaha_at_.....>
Date: Tue Dec 15 2009 - 01:09:50 PST
Hi,
I did not see any restriction in the LRM that prevents concurrent 
assertion statement inside task/function. Though all the standard 
simulators fail for the following case for same reason:

module top(input clk,a,b,c);

        property prop;
                @(posedge clk)  a ##1 b ##1 c;
        endproperty
        task tsk;
                assert property(prop)
                            $display("\n time = %g , testname = %s Passed",$time,"test1_16_7");
                        else
                            $display("\n time = %g , testname = %s
Failed",$time,"test1_16_7");

        endtask

        function func();
                assert property(prop)
                            $display("\n time = %g , testname = %s
Passed",$time,"test1_16_7");
                        else
                            $display("\n time = %g , testname = %s
Failed",$time,"test1_16_7");

        endfunction

endmodule

Please comment on it.

-- 
Regards
Surya




-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Dec 15 01:20:25 2009

This archive was generated by hypermail 2.1.8 : Tue Dec 15 2009 - 01:21:53 PST