RE: [sv-bc] Query related with export statement inside the package.

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Tue Sep 15 2009 - 10:03:14 PDT
Isn't that a 2009 feature and the 2009 has not yet been approved?

-- Brad

________________________________________
From: owner-sv-bc@eda.org [owner-sv-bc@eda.org] On Behalf Of Dhiraj Kumar Prasad [dhiraj@cal.interrasystems.com]
Sent: Tuesday, September 15, 2009 12:09 AM
To: sv-bc@eda.org
Cc: Dhiraj Kumar Prasad
Subject: [sv-bc] Query related with export statement inside the package.

Hi,

Is the following testcase is legal ?

package p;
    export p::*;
endpackage

module tmp;
endmodule

As some of the standard tool's are showing error for this.LRM section
26.6,don't say anything
about the behavior when there is nothing to export.

Regards,
dhiRAj





--
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Sep 15 10:06:17 2009

This archive was generated by hypermail 2.1.8 : Tue Sep 15 2009 - 10:06:39 PDT