[sv-bc] Query related with export statement inside the package.

From: Dhiraj Kumar Prasad <dhiraj_at_.....>
Date: Tue Sep 15 2009 - 00:09:17 PDT
Hi,

Is the following testcase is legal ?

package p;
    export p::*;
endpackage

module tmp;
endmodule

As some of the standard tool's are showing error for this.LRM section 
26.6,don't say anything
about the behavior when there is nothing to export.

Regards,
dhiRAj





-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Sep 15 00:24:23 2009

This archive was generated by hypermail 2.1.8 : Tue Sep 15 2009 - 00:26:08 PDT