Re: [sv-bc] Array assignment (copy) - overlapping source and target

From: Greg Jaxon <Greg.Jaxon_at_.....>
Date: Tue Jun 09 2009 - 11:00:53 PDT
Correction: 7x the statement delay.
Also "convergence" isn't really the right word to describe the final effect.
The elements of A remain distinguishable even after synthesis.  E.g.,
if they each have a unique driver, then A[7] is multiply-driven by 8 sources
but A[4] is multiply-driven by just the first 5 of those.

As Shalom says, the continuous assignment uses for this are in grave doubt.

Greg

Greg Jaxon wrote:
In a continuous assignment, the result converges on seven copies of A[0],
but this takes 6 cycles (6x any delay on the statement).


jonathan.bromley@doulos.com wrote:
  
Thinking again about the 2380 proposal... is it desirable to
add something saying what happens when you copy an
array on to itself?  I know that all tools currently do
The Right Thing (tm) with this:

  A[1:7] = A[0:6];

but I'm not sure that the LRM anywhere specifies that
the RHS should be fully evaluated before any writes
to the LHS take place.  I don't want some implementation
giving me seven copies of A[0] in A[1:7] because
of "left-to-right copying"!

thanks
--
Jonathan Bromley


--
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.


    


--
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.

  

--
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean. Received on Tue Jun 9 11:04:19 2009

This archive was generated by hypermail 2.1.8 : Tue Jun 09 2009 - 11:05:06 PDT