RE: [sv-bc] Array assignment (copy) - overlapping source and target

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Tue Jun 09 2009 - 10:35:57 PDT
Since this is a shift-register-like type of assignment, it is not something you would put in a continuous assignment or even an always_comb procedure.

Shalom 

> -----Original Message-----
> From: owner-sv-bc@server.eda.org 
> [mailto:owner-sv-bc@server.eda.org] On Behalf Of Greg Jaxon
> Sent: Tuesday, June 09, 2009 8:27 PM
> To: jonathan.bromley@doulos.com
> Cc: sv-ec@eda.org; sv-bc@eda.org
> Subject: Re: [sv-bc] Array assignment (copy) - overlapping 
> source and target
> 
> In a continuous assignment, the result converges on seven 
> copies of A[0],
> but this takes 6 cycles (6x any delay on the statement).
> 
> 
> jonathan.bromley@doulos.com wrote:
> > Thinking again about the 2380 proposal... is it desirable to
> > add something saying what happens when you copy an
> > array on to itself?  I know that all tools currently do
> > The Right Thing (tm) with this:
> >
> >   A[1:7] = A[0:6];
> >
> > but I'm not sure that the LRM anywhere specifies that
> > the RHS should be fully evaluated before any writes
> > to the LHS take place.  I don't want some implementation
> > giving me seven copies of A[0] in A[1:7] because
> > of "left-to-right copying"!
> >
> > thanks
> > --
> > Jonathan Bromley
> >
> >
> > --
> > This message has been scanned for viruses and
> > dangerous content by MailScanner, and is
> > believed to be clean.
> >
> >   
> 
> 
> -- 
> This message has been scanned for viruses and
> dangerous content by MailScanner, and is
> believed to be clean.
> 
> 
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue Jun 9 10:36:52 2009

This archive was generated by hypermail 2.1.8 : Tue Jun 09 2009 - 10:37:25 PDT