RE: [sv-bc] Question about "let"

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Mon Jun 01 2009 - 13:28:56 PDT
Greg,

When the actual for v gets substituted in, isn't it wrapped in parens, yielding something illegal, such as

              (x)++

-- Brad


________________________________________
From: owner-sv-bc@eda.org [owner-sv-bc@eda.org] On Behalf Of Greg Jaxon [Greg.Jaxon@synopsys.COM]
Sent: Monday, June 01, 2009 1:03 PM
To: SV_BC List
Subject: [sv-bc] Question about "let"

Are either of the following legal?

     let post_incr(v) = v++;

     let pre_incr(int v) = v++;

If they are, what is the value of the actual arguments after the
following uses?

     int a = 0 , b = 0;
     b = post_incr(a);
     a = pre_incr( b+1 );

My understanding is that at the very least this last usage is illegal,
but perhaps not, if we regard let as having more of a functional than a
template interpretation.

Greg Jaxon




--
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Jun 1 13:38:49 2009

This archive was generated by hypermail 2.1.8 : Mon Jun 01 2009 - 13:39:47 PDT