[sv-bc] Question about "let"

From: Greg Jaxon <Greg.Jaxon_at_.....>
Date: Mon Jun 01 2009 - 13:03:13 PDT
Are either of the following legal?

     let post_incr(v) = v++;

     let pre_incr(int v) = v++;

If they are, what is the value of the actual arguments after the
following uses?

     int a = 0 , b = 0;
     b = post_incr(a);
     a = pre_incr( b+1 );

My understanding is that at the very least this last usage is illegal,
but perhaps not, if we regard let as having more of a functional than a
template interpretation.

Greg Jaxon




-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Mon Jun 1 13:23:22 2009

This archive was generated by hypermail 2.1.8 : Mon Jun 01 2009 - 13:24:35 PDT