[sv-bc] RE: [sv-ec] Case @* - altera

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Tue May 19 2009 - 07:42:15 PDT
Also, in SystemVerilog, the index wouldn't usually be global, instead

     for (integer i = 0; i < 10; i++)

-- Brad

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue May 19 07:56:42 2009

This archive was generated by hypermail 2.1.8 : Tue May 19 2009 - 07:57:57 PDT