[sv-bc] RE: [sv-ec] Case @*

From: Bresticker, Shalom <shalom.bresticker_at_.....>
Date: Tue May 19 2009 - 01:19:31 PDT
But making that change will guarantee that the simulator will enter an infinite loop, assuming that the tool does put i in the sensitivity list.

Regards,
Shalom

However, in this particular example, the question is whether there would be an initial change in i that triggers the always procedures.
OK so we can change the code by adding #0 : "initial #0 i = 0;"
---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.

-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Tue May 19 02:31:00 2009

This archive was generated by hypermail 2.1.8 : Tue May 19 2009 - 02:33:07 PDT