RE: [sv-bc] Query regarding equality/inequality operation on virtual interface variable

From: Rich, Dave <Dave_Rich_at_.....>
Date: Wed Apr 22 2009 - 07:34:29 PDT
Ishita,

That is probably an oversight I made when doing Mantis 1330. When we
made the exception for assignments; we could have made the same
exception for equality/inequality.


-----Original Message-----
From: owner-sv-bc@server.eda.org [mailto:owner-sv-bc@server.eda.org] On
Behalf Of Ishita Ghosh
Sent: Wednesday, April 22, 2009 3:32 AM
To: sv-bc@server.eda.org
Subject: [sv-bc] Query regarding equality/inequality operation on
virtual interface variable

Hi,
According to SV 2009 draft LRM Section 25.9,
"Only the following operations are directly allowed on virtual interface

variables:
- Assignment ( = ) to the following:
- Another virtual interface of the same type
- An interface instance of the same type
- The special constant null
- Equality ( == ) and inequality ( != ) with the following:
- Another virtual interface of the same type
- An interface instance of the same type
- The special constant null "
and "A virtual interface declaration may select a modport of an 
interface in which case
the modport is also part of its type."
Again, "An interface instance or virtual interface with no modport 
selected may be
assigned to a virtual interface with a modport selected" though they are

of different types.

But equality/inequality operation on virtual interface with modport 
selected, with interface
instance or virtual interface with no modport selected is not allowed. 
Please confirm.

Thanks and Regards,
Ishita.



-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Apr 22 07:37:31 2009

This archive was generated by hypermail 2.1.8 : Wed Apr 22 2009 - 07:39:23 PDT