[sv-bc] Query regarding equality/inequality operation on virtual interface variable

From: Ishita Ghosh <ighosh_at_.....>
Date: Wed Apr 22 2009 - 03:32:08 PDT
Hi,
According to SV 2009 draft LRM Section 25.9,
"Only the following operations are directly allowed on virtual interface 
variables:
— Assignment ( = ) to the following:
— Another virtual interface of the same type
— An interface instance of the same type
— The special constant null
— Equality ( == ) and inequality ( != ) with the following:
— Another virtual interface of the same type
— An interface instance of the same type
— The special constant null "
and "A virtual interface declaration may select a modport of an 
interface in which case
the modport is also part of its type."
Again, "An interface instance or virtual interface with no modport 
selected may be
assigned to a virtual interface with a modport selected" though they are 
of different types.

But equality/inequality operation on virtual interface with modport 
selected, with interface
instance or virtual interface with no modport selected is not allowed. 
Please confirm.

Thanks and Regards,
Ishita.



-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Apr 22 03:36:51 2009

This archive was generated by hypermail 2.1.8 : Wed Apr 22 2009 - 03:37:45 PDT