RE: [sv-bc] Query regarding Virtual Interface declaration

From: Brad Pierce <Brad.Pierce_at_.....>
Date: Thu Apr 09 2009 - 06:33:19 PDT
CC'ing in sv-ec.

-- Brad

-----Original Message-----
From: owner-sv-bc@eda.org [mailto:owner-sv-bc@eda.org] On Behalf Of Ishita Ghosh
Sent: Thursday, April 09, 2009 5:43 AM
To: sv-bc@eda.org
Subject: [sv-bc] Query regarding Virtual Interface declaration

Hi,
    According to SV 2009 draft LRM sec. 25.9,
   "Although an interface may contain hierarchical references to objects
outside its body or ports that reference
   other interfaces, it shall be illegal to use an interface containing
those references in the declaration of a virtual
   interface."
   Please suggest an example in this context.

Thanks and Regards,
Ishita.



--
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu Apr 9 06:34:35 2009

This archive was generated by hypermail 2.1.8 : Thu Apr 09 2009 - 06:36:54 PDT