[sv-bc] Query regarding Virtual Interface declaration

From: Ishita Ghosh <ighosh_at_.....>
Date: Thu Apr 09 2009 - 05:42:52 PDT
Hi,
    According to SV 2009 draft LRM sec. 25.9,
   "Although an interface may contain hierarchical references to objects 
outside its body or ports that reference
   other interfaces, it shall be illegal to use an interface containing 
those references in the declaration of a virtual
   interface."
   Please suggest an example in this context.

Thanks and Regards,
Ishita.



-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Thu Apr 9 05:46:10 2009

This archive was generated by hypermail 2.1.8 : Thu Apr 09 2009 - 05:47:06 PDT